CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga spi

搜索资源列表

  1. vspi

    0下载:
  2. 比较好的一个FPGA的spi总线核-Better FPGA spi bus nuclear 。。。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:3433244
    • 提供者:林子
  1. spi

    0下载:
  2. spi时序控制程序。在fpga中,数据传输等都会由spi进行与主控的交换,此程序用于在数据传输中spi部分的时序控制等。-The spi Timing control procedures. In fpga, data transmission, and will by spi master exchange spi part of this procedure is used in the data transmission timing control.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:1024
    • 提供者:neal
  1. SPI_fpga_w_r_sigle

    0下载:
  2. verilog fpga spi slave 收发测试 有简单的协议 modelsim仿真通过 -simple protocol modelsim verilog fpga spi slave transceiver test simulation by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:2649660
    • 提供者:飞天狐
  1. SPI_FPGA

    0下载:
  2. 基于f p g a的s p i借口设计,很有用的-Based on the fpga spi excuse design, useful
  3. 所属分类:Other Embeded program

    • 发布日期:2017-12-04
    • 文件大小:33235
    • 提供者:杨选学
  1. fpgaspi

    1下载:
  2. LabVIEW FPGA SPI implementation
  3. 所属分类:Other systems

    • 发布日期:2017-11-14
    • 文件大小:266156
    • 提供者:tester3399
  1. spiV

    0下载:
  2. FPGA spi通信协议,很全,大家参考,希望对大家有用。-Fpga spi Communication protocol, very full, we refer to the hope that useful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:2637390
    • 提供者:马梦宇
  1. spi

    0下载:
  2. spi协议 用verilog 编写 可以在xilinx fpga板子上 ise软件-spi protocol written in verilog in xilinx fpga board ise software
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:1535
    • 提供者:Andy
  1. SPI

    0下载:
  2. spi master code for fpga quartus altera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:354350
    • 提供者:djawad
  1. SPI-verilog

    0下载:
  2. spi master code for fpga quartus altera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:355406
    • 提供者:djawad
  1. FPGASPI

    0下载:
  2. FPGA SPI 主要模块全部涵盖 时序解释 与DSP通信-FPGA SPI Timing interpretation covering all main modules communicate with the DSP
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:429809
    • 提供者:yangtaoli
  1. spi-dac-with-spartan-3e-fpga

    0下载:
  2. DAC details has been given for FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:575301
    • 提供者:chandra
  1. spi-fpga-interface

    0下载:
  2. 基于stm32f107处理器的板子的spi驱动程序-Based stm32f107 processor board spi driver
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:55769
    • 提供者:
  1. FPGA_SPI

    0下载:
  2. 本源码是用verilog语言编写的FPGA的SPI主机代码,可以用做SPI开发参考。-The source code is written in verilog FPGA SPI master code, can be used to develop a reference SPI.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2855
    • 提供者:黄华
  1. SpiDisplay

    0下载:
  2. 基于xilinx FPGA 的SPI通过74HC595扩展IO数码动态显示-xilinx FPGA SPI 74HC595 extended by IO digital dynamic display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:199665
    • 提供者:王建
  1. spi

    0下载:
  2. FPGA实现spi自发自收,verilog代码-FPFA spi verilog
  3. 所属分类:Other systems

    • 发布日期:2017-05-19
    • 文件大小:5405809
    • 提供者:xiao
  1. SPI-Master

    1下载:
  2. 有关Verilog的SPI通信的代码,可以应用于FPGA的通信-this is verilog code about SPI
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-06-25
    • 文件大小:3072
    • 提供者:baiyurong
  1. fpga_spi

    0下载:
  2. 利用FPGA实现SPI接口,与STM32硬件SPI进行联调已成功调试-Using FPGA SPI interface, and the FBI STM32 SPI hardware debugging has been successful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1469889
    • 提供者:lishh
  1. SPI_slave-SPI-control-ADS8364

    0下载:
  2. FPGA控制ADS8364采集,采集的数据通过SPI上传,SPI做从机slave。-FPGA control ADS8364 acquisition, upload the data collected through the SPI port, SPI do slave slave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:75004
    • 提供者:瞿盛
  1. spi

    0下载:
  2. 基于FPGA的spi通讯模块(16位数据输出)-Spi communication module based on FPGA (16 bit data output)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8093041
    • 提供者:常云鹏
  1. spi_4_2ch

    0下载:
  2. FPGA spi接口源码,可实现两个从机,扩展后可快速实现多从机,设置灵活,简单方便,有注释-FPGA spi interface source code, can achieve the two slaves, after expansion can quickly achieve multiple slaves, set flexible, easy to use, there is a comment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1944
    • 提供者:江志成
« 1 2 3 4 5 67 8 9 10 11 ... 15 »
搜珍网 www.dssz.com